International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1409
ISSN 2229-5518

A Roadmap on the Low Power Static Random

Access Memory Design Topologies

Jyoti Yadav, Toshiyanka Goswami, P.Bhatnagar, S. Birla and Neeraj Kr. Shukla

Abstract— The increasing demand for more and novel applications in electronics systems have persuaded the semiconductor technology towards scaling of devices to accommodate a large number of circuit component in a single Integrated Circuit. Thus high density and faster chips have become semiconductor industry’s requirement. But faster circuits need more power to work properly and hence reduces the battery lifetime. This paper provides a systematic overview of Static Random Access Memory based on semiconductor technology (45,65,130 and180 nm), Bit-Cell type (1T,2T,3T upto 14T), various circuit design techniques (power gating, dual Vth, body biasing, MTCMOS, Sub threshold, etc.) for ultra low power applications, eg. Bio-medical, W ireless sensors, Multimedia applications. Recent trends of shrinking the semiconductor devices into nanometer regime lowers the operating power requirements. This lower operating voltage(Vdd) starts offering other challenges such as speed, stability. It is seen that if speed is increased then power also increases. If access time is decreased , the noise margins also increases. If Vmin is reduced then reliability improves.

Index Terms— Bio-Medical, multimedia, 3-D graphics, wireless sensors

—————————— ——————————

1 INTRODUCTION

SRAM has advantage over DRAM because of its high speed, faster access time, low power dissipation and it doesn’t require being refreshed again and again. SRAM is mostly used for cache memory, the memory used on processors and hard drives to store frequently used data and instructions. SRAM is used in a lot of devices where speed is more crucial than capacity.Because of its application in high speed communications and 3-D Graphics systems there is large demand for high speed embedded memories [12]. Battery lifetime specifications drives a great impact on the power consumption requirements of integrated cir- cuits in bio- implantable, wearable, and portable medical devices [32]. Stand by power is a major problem in low power applica- tions. The amount of circuitry on a chip as well as circuitry speed have continued to increase exponentially since the invention of integrated chips. The scaling of CMOS technology has brought several challenges for SRAM designers to meet market de- mands [37]. To reduce standby leakage following techniques can be used: i To increase threshold voltage NMOS and PMOS are reverse body biased ii To reduce the effective Vdd across the SRAM cell , bias the source (Vs) of the SRAM cell NMOS latch above ground iii In standby mode to turn off the circuits use sleep transistors [42].Various low power methodologies are used e.g. Self reverse bias technique, Multiple Vth technique, Multi threshold-Voltage CMOS (MTCMOS), Multiple body bias, Dual threshold CMOS, Dynamic Vth technique etc. Subthreshold logics are also becoming renouned for ultra-low power applications like portable electronics, medical instruments, and sensor networks where minimum power consumption is the main require- ment [45].Various multi voltages schemes are proposed with increased process corners complexity. Depending on the targeted application constraint tradeoffs like power, area, performance and stability are prioritized. The highly power constrained sys- tems require more power for its active mode operations [55]. To achieve high speed, low voltage and smaller area semiconduc- tor circuits and systems are implemented into nano metre regime [67].

2 LOW-POWER SRAM BIT-CELL TOPOLOGIES

This section presents various SRAM Bit-Cell topologies reported so far by various researchers in the domain of semiconductor SRAM design. Here, the bit-cell topologies have been organized in respect with the number of transistors in the SRAM cell and technology. A lot of good work is available in the literature these days. This was a big challenge before us to what to include and what to not. Though we have tried, but the inclusion of all the research paper is not possible in one survey, we have focused on low-power SRAM bit-cell topologies and technologies. Various low power methodologies are used e.g. Self reverse bias tech- nique, Multiple Vth technique, Multi threshold-Voltage CMOS (MTCMOS), Multiple body bias, Dual threshold CMOS, Dynam- ic Vth technique etc.

———————————————

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1410
ISSN 2229-5518

TABLE 1: THE 1T SRAM BIT-CELL TOPOLOGY

Author & Title

Technology

(nm)

Power

(µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Moselund K.E., Bouvet D., Pott V., Meinen C., Kayal M., and Ionescu A.M., “Punch- through impact ionization

MOSFET (PI- MOS): From de- vice principle to applications,”[1]

TCAD

-

-

-

-

punch- through im- pact ioniza- tion

MOSFET

High Current Consumption

High temperature stability,

less switching activity

Hysteresis width can be optimized by the value of Vds.

Leung Wingyu, Hsu Fu-Chieh, and Jones Mark- Eric, “The Ideal SoC Memory: lT- SRAM,”[2]

CMOS

180

-

-

300

-

multi-bank architecture and multi- layer metal interconnect

high- frequency operation, short latency, transparent refresh and soft-error

rate

Easy to port, remove process incompatibility, extremely scal- able,

cost effective

1T-SRAM enables the economic embedding of very large quantities of memory in SoC designs.

Jin Niu, Chung Sung-Yong, Yu Ronghua, Heyns Roux M , Berge Paul R., and Thompson Phillip E., “The Effect of Spacer Thickness- es on Si-Based Resonant Inter- band Tunneling Diode Perfor- mance and Their Application to Low-Power Tun- neling Diode SRAM Cir- cuits,”[3]

N channel depletion mode FET

-

-

-

0.5

Si based res- onant inter- band tunnel- ing diodes (RITD)

Temperature change may effect

Low stand by power con- sumption, in- crease circuit speed,

reduce com- ponent count,

Suitable for low power memory ap- plications, Spacer thick- ness reduced to 16nm, Current den- sity reduced to 0.5A/cm2, peak-to-

valley current ratio (PVCR) reduced to 2.2

Glaskowsky Peter N., “MoSys Ex- plains 1T-SRAM Technology

Unique Architec- ture Hides Refresh Makes DRAM Work Like SRAM,”[4]

CMOS

-

-

-

-

Hide refresh technique- DRAM work like SRAM

Area may increase

High speed , high density,

No refreshing is required,

No wait state,

Jones Mark-Eric, “1T-SRAM-Q™: Quad-Density Technology Reins in Spiraling Memory Re- quirements,”[5]

45

CMOS

-

-

-

-

Quad Densi- ty Technolo- gy

Complex designing

Highly scala- ble,

Reliable, Small size,

Cost effective, Enhanced soft error rate, Improved yield

4times higher density than traditional 6T SRAM, Enhanced reliability using Trans- parent error

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1411
ISSN 2229-5518

correction

TABLE 2: THE 2T SRAM BIT-CELL TOPOLOGY

Author & Title

Technology

(nm)

Power

(µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Somasekhar Dinesh, Ye Yibin, Aseron Paolo, Lu Shih-Lien, Khel- lah Muhammad, Howard Jason, Ruhl Greg, Kar- nik Tanay, Borkar Shekhar Y., De Vivek, and Keshavarzi Ali, “2GHz 2Mb 2T Gain-Cell Memory Macro with 128GB/s Bandwidth in a

65nm Logic Pro- cess,”[6]

65

-

0.00028000

2000

1.1

Pipelined macro cells

area may increase

Fast cycle time,

Faster read

operation

retention time =10µs, Array Den- sity

92Mbit/cm2

Meinerzhagen Pascal, Teman Adam, Mor- dakhay Anatoli, Burg Andreas, and Fish Alexan- der, “A Sub-VT

2T Gain-Cell Memory for Bi- omedical Appli- cations,”[7]

180

-

-

-

0.4(sub- Vt)

SUB-VT GAIN-CELL

Capacitive coupling may occur

Good energy efficiency,

low power consumption, highly robust

data reten- tion time is higher than data access time

TABLE 3: THE 3T SRAM BIT-CELL TOPOLOGY

Author& Title

Technology

(nm)

Power

(µW)

Area

(mm2)

Freq (MH z)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Ramesh Ani- sha, Park Si- Young, and Berge Paul R., “90 nm 32x32 bit Tunneling SRAM

Memory Ar- ray With 0.5 ns Write Ac- cess Time, 1 ns Read Ac- cess Time and

90

CMOS

-

0.0000183

-

0.5

DualVth, TSRAM(tunneling based static random access memory)

Noise margins may in- crease

High speed tunneling,

low static and dynamic power dissipation,

high robustness

Read ac- cess time-

1ns,

Write ac-

cess

time=0.5ns

,

Array

size=32x32

Standby power

dissipation of 6x(10)-5

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1412
ISSN 2229-5518

0.5 V Opera- tion,”[8]

mW per cell dynamic power dissipation of 1.8x (10)-7 mW per cell

Wagt van der J. P. A., Sea- baugh A.C., and Beam E.A., “RTD/HFET Low Standby Power SRAM Gain Cell,”[9]

HFET/RTD

-

-

-

0.4

Tunneling SRAM us- ing RTD(resonant tun- neling diodes), HFET(hetero structure field transistors)

Area over- heads

may in- crease

Reduced standby power consumption, more compact, low current density

Reduced standby power reduc- tion=50nW

, high speed, access

time=0.5ns

TABLE 4: THE 4T SRAM BIT-CELL TOPOLOGY

Author & Title

Technology

(nm)

Pow- er (µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Com- ments

Nod Kenji, Matsui Kou- jirou , Takeda Koichi, and Nakamura Nor- itsugu, “A Loadless CMOS Four-Transistor SRAM Cell in a 0.18-um Logic Technology,”[10]

180

CMOS

-

0.0019344

-

1.8

Concept of Loadless CMOS

Tradeoff between

cell size and SNM

Cell is com- pact.

High stability, high speed and high den- sity

Cell area is

35% small- er.

Arsovski Igor, Chan- dler Trevis and Sheikholeslami Ali, “A Ternary Content- Addressable Memory (TCAM) Based on 4T Static Storage and In- cluding a Current-Race Sensing Scheme,”[11]

180

CMOS

-

0.01754

-

1.2

Match-line (ML) sense scheme

Bitline can’t be high for a long pe- riod of time

Increase in density

Power con- sump- tion is less.

Noda K., Matsui K., Ito S., Masuoka S., Ka- wamoto H., Ikezawa N., Takeda K., Aimoto Y., Nakamura N., Toyo- shima H., Iwasaki T., and Horiuchi T., “An Ultra-High-Density High-speed Loadless Four-Transistor SRAM Macro with a Dual- Layered Wisted Bit- Line and a Triple-Well Shield,”[12]

180

CMOS

-

0.0019344

400

1.8

Dual-layered twisted bit- line,triple

well shield- ing

Memory capacity is less than embedded DRAM macros

Access speed and reliability is increased

Access time =

2.35 ns.

Bit-line

signal

delay is

re-

duced

by 20-

25%.

SNM >

400 mV

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1413
ISSN 2229-5518

Takeda K., Aimoto Y., Nakamura N., Toyo- shima H., Iwasaki T., Noda K., , Matsui K., Itoh S., Masuoka S., Horiuchi T., Nakagawa A., Shimogawa K., and Takahashi H., “A 16-Mb

400-MHz Loadless

CMOS Four-Transistor

SRAM Macro,”[13]

180

CMOS

-

54.08

400

1.8

Uses end- point dual- pulse drivers, wordline- voltage-level compensa- tion circuit and all- adjoining twisted bit- line scheme

Smaller storage- node ca- pacitance and lower load- element current

Accurate tim- ing control, stable data retention,

bit-line cou- pling capaci- tance re- duced, high speed access and smaller size

Size is

66% of

Coven-

tional

6T

SRAM.

Access

time =

2.5 ns

Yang Jinshen, and Chen Li,“A New Loadless 4- Transistor SRAM Cell with a 0.18 µm CMOS Technology,”[14]

180

CMOS

-

-

-

1.8

Bitlines are precharged to ground in- stead of VDD

Tradeoff between

the cellsize and cell stability. Node

which is storing

logic high can’t retain its full swing val- ue because it is float- ing.

Consumes

less power

and less area

SNM =

446

mV,

Cell

layout

size is

15%

small-

er.

Highly stable

when cell ratio=3. Used in high speed and

high density SRAMs

.

Giraud B., Amara A., and Vladimirescu A., “A Comparative Study of 6T and 4T SRAM Cells in Double-Gate CMOS with Statistical Variation,”[15]

32

CMOS

-

-

-

1.2

Driverless (DL) SRAM cell

An extra back gate is required

Operating characteristics are improved, stability im- proved in read and re- tention mode, less access time

SNM >

350 mV

Access

time

de-

creased

by 50%

Area

de-

creased

by 30%

Batude P., Jaud M-A., Thomas O., Clavelier L., Pouydebasque A., Vinet M., Deleonibus S., and Amara A, “3D CMOS Integra- tion:Introduction of Dynamic coupling and Application to Compact and Robust 4T SRAM,”[16]

TCAD

-

-

-

1.1

3D Integra- tion Technol- ogy

Higher TB

is required

Stability and surface densi- ty increases, balance be- tween SNM and RNM improved

Leak- age current

=10

pA/µm

RNM =

320 mV

SNM =

150 mV

Density

Gain

=16.4%

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1414
ISSN 2229-5518

Mazreah Azizi A., Sa- hebi Reza M., Manzuri Taghi M., Hosseini Ja- vad S., “A Novel Zero- Aware Four-Transistor SRAM Cell for High Density and Low Power Cache Application,”[17]

65

HSPICE

-

-

-

1.2

Uses two word-lines and one pair bit-line

Average leakage current is

15% great- er

High density and low pow- er, retains its data with leakage cur- rent and posi- tive feedback without re- fresh cycle

Aver- age delay access

is 30% small- er.

Aver- age dy-

namic energy con- sump- tion is

45% small- er.

SNM =

0.35 V

R Sandeep, Deshpande Narayan T, and Aswatha A R, “Design and Analysis of a New Loadless 4T SRAM Cell in Deep Submicron CMOS Technolo- gies,”[18]

130 CMOS,

90 CMOS,

65 CMOS

-

-

333.33

1.5 (130

CMOS),

1.2 (90

CMOS)

and 1.1

(65

CMOS)

Bit-lines are precharged to ground

Read Ac- cess time is greater

Less power and less area, high stability

Capaci- tance

of each bitline

= 20 fF Load =

20 fF

Fan Ming-Long, Wu Yu-Sheng , Hu Vita Pi- Ho, Hsieh Chien-Yu, Su Pin, and Chuang Ching-Te, “ Compari- son of 4T and 6T Fin- FET SRAM Cells for Subthreshold Operation Considering Variabil- ity—A Model-Based Approach,”[19]

32 FinFET

-

-

-

0.4

Model-based approach to consider im- pact of device variation on stability

-

Better nomi- nal READ static noise margin (RSNM)

Area re- duced by

25%.

Degalahal V., Vi- jaykrishnan N., and Irwin M. J., “Analyzing Soft Errors in Leakage Optimized SRAM De- sign,”[20]

70

HSPICE

-

-

-

No VDD

4T SRAM

without VDD

Between optimizing leakage power and improving immunity

to soft er- ror

Leakage and area reduc- tion

Leak- age

re- duced by 60-

80% Advan- van- tage in area by

12-33%

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1415
ISSN 2229-5518

TABLE 5: THE 5T SRAM BIT-CELL TOPOLOGY

Author & Title

Technology

(nm)

Power

(µW)

Ar- ea (mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Tran Hiep, “Demonstration

of 5T SRAM And

6T Dual-Port

RAM Cell Ar-

rays,”[21]

600

CMOS

-

-

-

2

Single bitline

SRAM cell

-

Can operate at dual-port memory over a wide voltage supply range,improved cell size

Can be used in high density SRAM.

Can be imple- ment in ASIC design.

Wieckowski M., and Margala M., “A Novel Five- Transistor (5T) Sram Cell For High Perfor- mance Cache,” [22]

180

TSMC

CMOS

-

0.00

67

-

1.8

Based on 7T

current-

mode

cell

-

High-speed, Low-power. Optimization in transistor sizes.

57%enhancement in speed, 12% power reduction and 6% area re- duction.

Mohan Nitin and Sachdev Manoj, “Novel Ternary Storage Cells And Techniques For Leakage Reduc- tion In Ternary Cam,”[23]

180

CMOS

-

-

-

1.8

Ternary Con- tent Ad- dressable Memories (TCAM)

Unused state can’t be stored in cell

Leakage reduc- tion, high speed, smaller area

Leakage de- creased by 32-

40%.

Cosemans S., Dehaene W., and Catthoor F., “A Low-Power Em- bedded SRAM

for Wireless Ap- plications,”[24]

180

CMOS

-

0.69

250

1.6

Short buff- ered bitlines

& memory databus,

Read opera- tion using dynamic stability,

Low-Swing Write Using Shared Low- Swing Re- ceivers, and Distributed Decoder

Large part of die used for on-chip signal monitoring circuits

Energy per access is re- duced, area reduced

Active power consumption reduced by fac- tor of 2.

Energy per ac- cess reduced to

54%

Energy = 9.5 pJ

Delay = 0.74 ns

Wieckowski M., and Margala M., “A Portless SRAM Cell Using Stunt- ed Wordline Drivers,”[25]

180

CMOS

-

0.00

877

-

1.8

Portless con- cept in an isolated test

cell

Some per- centage of current ratios in process results in slower operation

& reduce

efficiency

Higher SNM, less leakage, cell area re- duced

Static noise mar- gin increased by

22%.

Leakage de-

creased by 14%

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1416
ISSN 2229-5518

Mazreaht Arash Azizi, Shalmani Mohammad

Taghi Manzuri, Noormande Reza, and Mehrparvar Ali, “A Novel Zero-Aware

Read-Static-

Noise-Margin-

Free SRAM Cell

for

High Density and

High Speed

Cache Applica-

tion,”[26]

250

CMOS

-

0.01961

-

2.5

Loop-cutting strategy, use one word- line and one bit-line dur- ing

read/write operation

-

Data is retained with leakage current and positive feed- back without refresh cycle

Cell size de- creased by 18%. Average delay is reduced by 20%. Average leakage current is 8% greater.

Nalam S., and Calhoun Benton H., “Asymmetric Sizing in a 45nm

5T SRAM to Im- prove

Read Stability over 6T,”[27]

45

CMOS

-

-

-

0.5

Novel asymmetric sizing ap- proach to increase read ability

Area and

Write

Noise

Margin

Robust read operation

Read stability and improved writability through write assist techniques.

TABLE 6: THE CONVENTIONAL 6T SRAM BIT-CELL TOPOLOGY

Author & Title

Technol- ogy

(nm)

Power

(µW)

Area

(mm2)

Freq

(MHz

)

Vdd

(V)

Method- ology

Trade offs

Achievements

Comments

Narasimhan S.

,Chiel H.J., and

bhunia S., “Ultra- low-power and

Robust Digital- Signal-Processing Hardware for Im- plantable Neural Interface Microsys- tems,”[28]

70

HSPICE

10

0.21

33.33

0.6

Preferen- tial De- sign,NSPa lgo,power gat- ing,voltag

e scaling

Delay over- heads may

in- crease

Improved total energy, highrobust- ness,

high yield

Yield-79.94% Energy = 106.56 pJ Delay = 4.39 ns

Narasimhan S., and Bhunia Swarup, “Ultralow-Power

and Robust Embed- ded Memory for Bioimplantable Microsystems,”[29]

45

HSPICE

-

0.000256

00

0.0002

5600

0.8

Power gating technique

Noise mar- gins may in-

crease

Low energy dissipa- tion,betterarea, high robust- ness

Array size-(64x80)

Noise margin-Read=209

Write=420

Hold=383

It is 611x faster and 1.4x denser than subThresh-

old design. Energy = 33.72 pJ Delay = 0.37 ns

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1417
ISSN 2229-5518

Elakkumanan Praveen,Thondapu Charan, and Sri- dhar Ramalingam, “A Gate Leakage Reduction Strategy For Sub-70nm Memory Cir- cuits,”[30]

65

BPTM

_

_

_

0.8

N- controlled SRAM using dual Vth (Dy- namic voltage scaling)

1-3% less perfor for- manc e.

Better leakage savings

60% reduction in gate leakage

Hua Chung-Hsien, Cheng Tung-Shuan, and Hwang Wei, “Distributed Data- Retention Power Gating Techniques for Column and Row Co-Controlled Embedded SRAM,”[31]

130

CMOS

-

-

-

1

Column and row co- controlled power gated SRAM

Extra AND gate delay

High robust- ness

SNM-340 mV, active power reductions (PDP)

32-bit-49%, 16-bit-75%

8-bit -93%,area overhead-

8.1%

Sridhara Srinivasa R., DiRenzo Mi- chael, Lingam Srinivas, Lee Seok- Jun, Blázquez Raúl, Mxey Jay, Ghanem Samer, Lee Yu- Hung, Abdallah Rami, Singh Prashant, and Goel Manish, “Micro- watt Embedded Processor Platform for Medical Sys- tem-on-Chip Ap- plications,”[32]

130

CMOS

5nW/kH

z(0.5)

19N

w/kHz(

0.1)

1.95 um sq bit cell or

1.3mm

sq

<1mh z

0.5- 1

Differen- tial SRAM,FF

T accelera-

tor

Leak- age pow- er,dy nam- icpow er,me mory band width

,run time

Less leakage, more reliable

90% effective dc-dc con- verter,

performance 7KHz(0.5v),

5MH(1v),

Leakage power

7nW(0.3v),

density of SRAM-32kb

Energy = 100 nJ

Kulkarni Jaydeep P., and Roy Kaushik, “Ul- tralow-Voltage Process-Variation- Tolerant Schmitt- Trigger-Based SRAM Design,”[33]

130

CMOS

-

1.063

270 kHz

300 mV

Schmitt- Trigger (ST)- SRAM with Feedback mecha- nism

Bit cell area in-

creas- es 2x.

better read- stability as well as better write-ability,

1.6 x higher read static noise margin,

2x higher write-trip- point,

Leakage current-0.372Ua

Rooseleer Bram, Cosemans Stefan, and Dehaene Wim, “A 65 nm, 850

MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power memory using dy- namic cell stability and a dual swing data link,”[34]

65

CMOS

25.2

0.48

850

1.0

Dual swing

data link on the GBLs,

High

threshold

voltage

cells,

Dynamic

decoder

with

merged

address

latches

Noise mar- gins may in-

crease

ultra low leak- age power and very

low active en- ergy consump- tion,

improved sta- bility,

high speed, improved ro- bustness

Memory size -256 kbit, Wordlength -32 bit,

Cell type- L = 60 nm, W =

120 nm

Energy = 4.3 pJ/access

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1418
ISSN 2229-5518

Bansal Aditya, Mukhopadhyay Saibal, and Roy Kaushik, “Device- Optimization Tech- nique

for Robust and Low-Power FinFET SRAM Design in NanoScale

Era,”[35]

50

FinFET

-

-

-

1.0

FinFET

Ac- cess time and perfor for- manc e,stab ility

Minimized leakage cur- rent and drain capacitance

to on-current ratio,

high robust- ness,

65%SRAM leakage is reduced, improves cell read failure by

200times,Tox-1.2nm

Amelifard Behnam, Fallah Farzan, and Pedram Massoud, “Leakage Minimi- zation of SRAM Cells in a Dual-Vt and Dual-Tox Technology,”[36]

65

HSPICE

-

-

-

1.1

Dual Vt, Dual Tox

Area over- heads

,delay

Reduced leak- age power dissipation, improved per- formance

Power dissipation of SRAM array size-64x512 is reduced to 33%.

Power dissipation of SRAM array size-32x512 is reduced to 40%.

Vth-0.18v

Sharifkhani Mo- hammad and Sachdev Manoj, “An Energy Effi- cient 40 Kb SRAM Module With Ex- tended Read/Write Noise Margin in

0.13 um

CMOS,”[37]

130

CMOS

-

-

100

0.4 per cell

Virtual ground architec- ture

Ac- cess time may in-

crease

Low leakage current,energy efficient

,improved stability

28% noise margin en- hancement, size-40kb, leakage current-

27Pa/Cell,

area overhead 8%,

dynamic data stability

management. Energy = 7 pJ

Lakshminarayanan S., Joung J., Nara- simhan G., Kapre R., Slanina M., Tung J., Whately M., Hou C-L., Liao W-J., Lin S-C., Ma P-G., Fan C-W., Hsieh M-C., Liu F- C., Yeh K-L.,

Tseng W-C., and Lu

S.W., “Standby

PowerReduction

and SRAM Cell

Optimization for

65nm

Technology,”[38]

65

CMOS

-

-

-

1.0

Body bias- ing tech- nique

Sta- bility issues may arise

Reduced leak- age current, improved per- formance

Fast process corners, improved yield

TABLE 7: THE 7T SRAM BIT-CELL TOPOLOGY

Author & Title

Technology

(nm)

Power

(µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Jiao Hailong, and Kursun Volkan, “Low Power and Robust Ground Gated Memory Banks with Com- bined Write Assist Techniques,”[39]

65

CMOS

-

-

1000

1.2

Multi Threshold CMOS tech- nology

Careful transistor sizing,cell layout op- timization

Stronger

data stability

and lower

leakage pow-

er consump-

tion

write margin enhanced to

2.75x,

write delay

reduced to

71.70%,

data stability

increases by

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1419
ISSN 2229-5518

2.11x,

leakage power

consumption

reduces by

65.38%.

cell layout area

is increased

upto 26.97%, electrical quali-

ty is enhanced by 9.36x

Liu Zhiyu and Kursun Volkan, “Characterization of a Novel Nine- Transistor SRAM Cell,”[40]

65

CMOS

-

-

-

-

Dual Vth technique

Area over- heads,

delay may increase

Improved performance, reduced leak- age power

Read SNM is improved by 2 times as com- pared to 6T SRAM,

Leakage power is reduced by

57%

Birla Shilpi, Shukla Neeraj Kr., Pattanaik Mani- sha, Singh R.K., “Device and Cir- cuit Design Chal- lenges for Low Leakage SRAM for Ultra Low Power Applica- tions,”[41]

90

CMOS

-

-

-

0.5

A data pro- tection

NMOS tran- sistor is add- ed.

1)write-

write mar-

gin

decreases

with de-

creasing

Vdd.

2)Read -

storage data

destruction

Vdd min-

440mV,

Access time-

20ns,

Better cell

performance,

Improved

write margin

It may achieve high speeds,Leakage power is re- duced by 21%

Yadav Monika, and Akashe Shyam, “New Technique For Reducing Sub- Threshold Leak- age In SRAM,”[42]

180

CMOS

-

-

-

1.8

Sense

Amplifiers

Layout op- timization

Lower leak- age current and power consumption

Area overhead increases by

16%,

47.5% better

power saving

Takeda Koichi, Hagihara Yasuhi- ko, Aimoto Yo- shiharu, Nomura Masahiro, Naka- zawa Yoetsu, Ishii Toshio, and Koba- take Hiroyuki, “A Read-Static-

Noise-Margin- Free SRAM Cell for Low-VDD and High-Speed Ap- plications,”[43]

90

CMOS

-

-

-

0.5

Read-static- noise- margin-free SRAM

cell

Leakage current in- creases with temperature

Low Vdd and high speed operation. Smaller area, SNM is im- proved

Area is 23%

smaller

Access time is

20ns

P Rajeev Anand., and P Chandra Sekhar., “Reduce Leakage Currents in Low Power

90

CMOS

9.738

0.000041600

-

1.0

Dual-VTH and trans- mission gate technique

Read Access time in- creases

Leakage cur- rent decreas- es,

reduced static power dissi-

Leakage cur- rent=133nA, gate current leakage reduc- es by 58%,

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1420
ISSN 2229-5518

SRAM cell Struc- tures,”[44]

pation

static noise margin reduces by 10%

TABLE 8: THE 8T SRAM BIT-CELL TOPOLOGY

Author & Title

Tech- nology

(nm)

Pow er (µW

)

Area

(mm2)

Fre q (M

Hz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Kim Tea-Hyoung, Liu J., and Kim C.H., “An 8T sub- threshold SRAM Cell Utilizing Re- verse Short Chan- nel Effect for Write Margin and Read Performance Im- provement,”[45]

130C MOS

-

0.000006

3648

-

1.2

Reverse short channel effect

Leakage cur- rent increas- es,

gate capaci- tance increas- es

Improved read and write margin, reduced threshold volt- age

52% speedup ,

area overhead

20%,

Ion/Ioff improved

from 169 to 271,

3x longer channel

length,

no extra circuitary

required

Verma N., and

Chandrakasan

A.P., “A 256 kb 65

nm 8T Subthresh-

old SRAM Em-

ploying Sense-

Amplifier Redun-

dancy,”[46]

65

CMOS

2.2

-

0.02

5

0.35

Sense amplifier redundancy

Increased device sizing and its statis- tical offset

High density, minimum

operating voltage,more read write stability,

low leakage power dissipa- tion

Leakage power saving 10x,

array size (256x128),30% area overhead

Wang Bo, Zhou Jun, and Kim Tony T., “Maximization of SRAM Energy Efficiency Utilizing MTCMOS Tech- nology,”[47]

65

CMOS

-

-

-

0.4

Multi Threshold CMOS technol- ogy

Read,write delays may increase.

High energy efficiency,

better perfor- mance

Array structure-

256 rows x 128

columns,

33% incease in

energy efficiency.

Kwong Joyce, Ramadass Yogesh K., Verma Naveen, and Chandrakasan Anantha P., “A 65 nm Sub-Vt Micro- controller With Integrated SRAM and Switched Ca- pacitor DC-DC Converter,”[48]

65

CMOS

-

-

-

0.5

Timing pro- cess,subVt mi- crocontroller with sub threshold memory

Area may increase

Leakage power and energy is reduced, improved sta- bility

Array size-128kb,

1uW standby

power at 300 mV,

dc-dc converter

achieves 75% effi-

ciency

Energy = 27.2 pJ

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1421
ISSN 2229-5518

Liu Zhiyu and Kursun Volkan, “Characterization

of a Novel Nine- Transistor SRAM Cell,”[40]

65

CMOS

-

-

-

-

Alternative communication channel (composed of a separate read bitline and the transistor stack) used for

reading the data

from the cell

Area over- head,delay may increase

Improved data stability

It may achieve high speeds. Leakage power is reduced by 23%.

Jain Sanjeev K., and Agarwal P., “A Low Leakage and SNM Free SRAM Cell Design in Deep Sub micron CMOS Technolo- gy[49]

90

CMOS

-

-

-

1.1

1 transistor re- duces gate leak- age and other makes cell SNM free.

Degradation

in read access

time when

read = ‘0’

Reduction in gate leakage power. Cell area increases.

Total leakage re- duced by 50.2%. SNM free when read = logic 0.

SNM improved by

2.2 times.

Increase in cell

area is 30%.

TABLE 9: THE 9T SRAM BIT-CELL TOPOLOGY

Author & Title

Tech nology (nm)

Pow er (µW

)

Area

(mm2)

Freq (MH z)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Ramani Ram- nath Arun, and Choi Ken, “A Novel 9T SRAM Design in Sub- Threshold Region,”[50]

45

HSPICE

-

-

-

0.3

Sub threshold technique

Degradation in perfor- mance

Leakage power reduction,

improved read

,write margin

Saving of PDP (write)- 2.80% <7T SRAM, 4.48 % <

8T SRAM , 5.64%

<9T SRAM

8.5 % <11T SRAM. (read)- 44.8 % <7T

SRAM

66.18 % <9T SRAM

Razavipour

G., Kusha

Afzali A., and

Pedram M.,

“Design and

Analysis of

Two Low

Power SRAM

Cell Struc-

tures,”[51]

45

HSPICE

-

-

-

0.8

Dual Vth tech- nique

Access time increases

Reduced static power dissipation and high perfor- mance

1st cell-Gate leakage current decreases by 66%,

Ideal power de- creases by 58%,

2nd cell--Gate leak- age current de- creases by 27%, Ideal power de- creases by 37%, Channel length- pmos=0.4um,NMO S=0.2um

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1422

ISSN 2229-5518

Mali Madan, Dr. Sutaone M.S., Bhalerao Mangesh, and Tak Shital, “Deep Submi- cron Imple- mentation of Gating Tran- sistor Power Saving Tech- nique for Power Opti- mized Code Book SRAM,”[52]

250

SPICE

-

-

-

0.6

Attachement of extra row and column to SRAM

Area in- creases with decrease in power

Improved reliabil- ity,

Access time,

power dissipation

is reduced ,

Access time reduc- es by 2ns, array size-256*8 ,

density -32kb,

bit line length-1mm

max,

no metal layers-3,

Power Dissipation reduced to 13%

Masuda Cho- taro, Hirose Tetsuya, Matsumoto Kei, Osaki Yuji, Kuroki Nobutaka,

and Numa Masahiro, “High Cur- rent Efficiency Sense Ampli- fier Using Body-Bias Control for Ultra-Low- Voltage SRAM,”[53]

350

SPICE

-

-

0.003

33

0.5

current latch sense amplifier with a current- reuse technique

Power dissi- pation may increase

High speed pre charging,

improved perfor- mance,

small overhead

pre-charge time decreased by 86.9%

,

power dissipation

increased by 8.6%,

Clark Law- rence T., Mor- row Michael and Brown William, “Re- verse-Body Bias and Sup- ply Collapse for Low Effec- tive Standby Power,”[54]

130

CMOS

165

125000

000000

0

0.032

1

Reverse body bias (RBB), voltage collapse technique

Area may increase

Low power dissi- pation,

high efficiency, cost remains same

Easy to design RBB, density-34kb,83% stand by power reduction

Liu Zhiyu and Kursun Volkan, “Characteri- zation of a Novel Nine- Transistor SRAM Cell,”[40]

65

CMOS

-

-

2000

1

Two separate data access mechanisms for the read and write opera- tions.

Area may increase.

Improved data stability and leak- age power reduc- tion.

It may be used for high speed circuits. leakage power is reduced by 51%.

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1423
ISSN 2229-5518

Singh R., Pat- tanaik M., and Shukla N., “Characteri- zation of a Novel Low- Power SRAM Bit-Cell Struc- ture at Deep Sub-Micron CMOS Tech- nology for Multimedia Applica- tions,”[55]

45

CMOS

-

-

-

0.8

IP3 SRAM bit- cell

Area and performance

Reduced power dissipation, Improved stability

tOX = 2.4 nm, Vthn

= 0.224 V, Vthp =

0.24V at T =

27°C.during write-

IP3 cell demands

17.65% and 41.53%

less power as com-

pared to 6T and PP

cells.

TABLE 10: THE 10T SRAM BIT-CELL TOPOLOGY

Author & Title

Tech- nology (nm)

Pow er (µW

)

Area

(mm2)

Fre q (M

Hz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Saripalli Vinay, Datta Suman, Narayanan Vi- jaykrishnan, and Kulkarni

Jaydeep P.,

“Variation-

Tolerant Ultra

Low-Power

Heterojunction

Tunnel FET

SRAM De-

sign,”[56]

45

TCAD

-

-

-

<3

00mV

TFET (tunnel FET) Schmitt- Trigger (ST)- SRAM with Feedback mechanism

Stability issues

Improved read/write noise margins,

lowenergy, improved perfor- mance,

can operate at low

Vcc.

Improved varia- tion tolerance,

1.2x reduction in dynamic energy,

13x reduction in leakage power

Calhounand Benton High- smith and Chandrakasan Anantha P., “A

256-kb 65-nm

Sub-threshold

SRAM Design

for Ultra-Low-

Voltage Opera-

tion,”[57]

65

CMOS

3.28

-

0.47

5

0.3

Separate read and write word line

Speed may decrease

Improved cell sta- bility,

better noise mar- gins,

power and energy saving

It saves 2.5x and

3.8x in leakage

power by scaling

from 0.6v to 0.4v

Ebrahimi Amir, Kargaran Ehsan and Golmakani Abbas,“Design and Analysis of Three New SRAM

Cells,”[58]

130

HSPICE

9.37

-

-

0.32

Separate read and write word line

Area overheads

Better SNM,

reduced leakage

current

512 cells per bit line and 128 col- umn cell array is achieves better read and write

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1424
ISSN 2229-5518

Lo Cheng-Hung and Huang Shi- Yu,P-P-N

Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation,”[59]

90

CMOS

-

-

0.9

0.32

Cross coupled P-P-N inverter pair

Area overheads

Low cell leakage, high noise immuni- ty,

ability to operate at low voltage,

high density

High immunity to data depend- ent bit line leak- age

Moradi Farshad

, Wisland Dag

T., Mahmoodi

Hamid, Berg

Yngvar, and

Cao. Tuan Vu,

“New SRAM

Design Using

Body Bias Tech-

nique for Ultra

Low Power Ap-

plications,”[60]

65

-

-

-

0.3

Body biasing technique

Area overheads may in- crease

Low power dissi- pation,

ultra supply volt-

age scaling,

SNM improvemnt

Static noise mar- gin is improved by 15%, Improvement in SNM of READ cycle-22%

TABLE 11: THE 11T SRAM BIT-CELL TOPOLOGY

Author & Title

Tech- nology

(nm)

Power

(µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Moradi Farshad, Wisland Dag.T., Aunet Snorre, Mahmoodi Hamid and Cao Tuan Vu, “65nm Sub- Threshold 11T- SRAM for Ultra Low Voltage Ap- plications,”[61]

65

CMOS

-

-

-

0.2

Boost capaci- tor (CB)

is used

Area may increase

Higher SNM and higher speed

Area overhead between 22 -28%,

4x improvement in read speed

Ebrahimi Amir, Kargaran Ehsan and Golmakani Abbas,“Design

and Analysis of Three New SRAM Cells,”[58]

130

CMOS

6.29

-

-

0.27

Separate

read and

write word

line

Area overheads

Better SNM, reduced leak- age current

512 cells per bit line and 128 col- umn cell array is achieves better read and write

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1425
ISSN 2229-5518

Singh Ajay Kumar, Prabhu C.M.R., Pin Soo Wei and Hou Ting Chik, “A Pro- posed Symmetric and Balanced 11-T SRAM Cell for lower power con- sumption,”[62]

250

CMOS

-

-

-

2.5

Two tail transistors

are used

Write access delay increased

Low circuit activity fac- tor,

Low active power densi- ty,

reduced

power con- sumption

Consumes 40% less average pow- er,

7% slower during write operation

Lin Sheng, Kim Yong-Bin, and Lombardi Fabrizio, “A 11-Transistor Nanoscale CMOS Memory Cell for Hardening to Soft Errors,”[63]

32

CMOS

-

0.3764

-

0.9

Hardening approach

Speed

and sta-

bility is-

sues

Power delay product re- duction.

soft error tolerance im- proved

Superior re- sistance to soft errors,

high performance

Chiu Yi-Wei, Hu Yu-Hao, Tu Ming- Hsien, Zhao Jun- Kai, Jou Shyh-Jye and Chuang Ching-Te, “A 40 nm 0.32 V 3.5 MHz

11T Single-Ended Bit-Interleaving Subthreshold SRAM with Data- Aware Write- Assist,”[64]

40

CMOS

-

-

3.5

0.32

Bit interleav- ing with data aware power cut off

Area overhead may in- crease

Improved write ability, can work at very low Vdd

Leakage pow- er=13.5uW Switching ener- gy=0.49pJ

TABLE 12: THE 12T SRAM BIT-CELL TOPOLOGY

Author & Title

Technology

(nm)

Power

(µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Mall Ambrish, Singh Suryabhan Pratap, Mishra Manish and Sri- vastava Geeti- ka,“Analysis Of

12T SRAM CELL For Low Power Application,”[65]

45

CMOS

-

-

-

0.4

Data reten- tion p gated, Series con- nected tail transistors

Area over- heads

may in- crease

Low power dissipation, reduced leak- age current, low energy consumption

Transistor width=100nm, Power reduction by 45.94%

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1426

ISSN 2229-5518

Chen Hu, Jun Yang, Meng Zhang and Xiulong Wu, “A

12T subthreshold SRAM Bit-cell for Medical Device Application,” [66]

130

CMOS

-

-

-

0.4

Schmitt Trig- ger based SRAM

Robustness issues may arrive

High stability, more robust- ness

Power consump- tion reduces to

16%,

30.2% grater hold

margin,

45% better SNM

Shayan Md, Singh Virendra, Singh Adit D and Fujita Masahiro, “SEU Tolerant Robust Memory Cell De- sign,”[67]

130

CMOS

-

-

-

1.2

SEU(single event upset) Tolerant SRAM

Reliability may re- duce,

area over- heads may increase

High robust- ness,

high perfor- mance,

more eco- nomical

62x increase in

Qcritical ,

It does not flip

transient pulse

,α=0.2ns,β=0.05ns

TABLE 13: THE 13T SRAM BIT-CELL TOPOLOGY

Author & Ti- tle

Tech- nology

(nm)

Po wer

(µ W)

Ar ea

(m m2)

Fre q

(M Hz)

V

dd

(V)

Method- ology

Trade offs

Achieve- ments

Comments

Sriram K V, Ranganna Ramappa Naik, Pavan Nandan S G and Kendaganna Swamy, “Design Of Low Power

64-Bit SRAM Using 13T Cell,”[68]

180

CMOS

283.33

-

-

1.1

Single read/write architecture, Stack tech- nique

Area overheads may in- crease

High perfor- mance, improved noise margin, low power consumption

Power consump- tion-

static=283.14uW dynamic=161.273uw Static noise margin- read SNM=0.70v write SNM=0.685v

TABLE 14: THE 14T SRAM BIT-CELL TOPOLOGY

Author & Title

Tech- nology (nm)

Pow- er (µW)

Area

(mm2)

Freq

(MHz)

Vdd

(V)

Methodology

Trade offs

Achievements

Comments

Fujiwara Hidehi- ro, Okumura Shunsuke, Iguchi Yusuke, Noguchi Hiroki, Kawagu- chi Hiroshi and Yoshimoto Masahiko, “A

7T/14T Dependa- ble SRAM and Its Array Structure to Avoid Half Selection,”[69]

65

CMOS

-

-

-

0.26

Quality of bit

Larger β ratio, SNM may in- crease

Improved relia- bility, speed.

Read and write operations are improved

SRAM works in

3 modes-

normal,

high speed,

dependable

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1427
ISSN 2229-5518

Yoshimoto Shusuke, Ama- shita Takuro, Okumura Shun- suke, Yamaguchi Kosuke, Yo- shimoto Masahiko, and Kawaguchi Hiro- shi, “Bit Error and Soft Error Hardenable

7T/14T SRAM with 150-nm FD- SOI Process,”[70]

150

TCAD

-

-

-

0.1

FD-SOI

Between area (cost) and reli- ability

Improved BER (Bit Error Rate), SER (Soft Error Rate) and relia- bility.

Improved mini- mum operating voltage

Alpha-induced SER suppressed by 80%

Neutron- induced SER decreased by

34.4%

Qcrit increased by 10-70%

14Tsuperior than 7T

Nakata Yohei, Ito Yasuhiro, Sugure Yasuo, Oho Shi- geru, Takeuchi Yusuke, Okumu- ra Shunsuke, Kawaguchi Hiro- shi, and Yo- shimoto Masahiko,

“Model-Based Fault Injection for Failure Effect Analysis– Evaluation of Dependable SRAM for Vehi- cle Control Units,”[71]

65

CMOS

-

-

-

0.4-

0.8

Fault Injec- tion System

Dependability of SRAM af- fects dependa- bility of pro- cessor system

System level de- pendability im- proves.

Area overhead is 11% greater. Has 2 modes – normal mode and dependable mode.

Vmin improved

by 0.05–0.15 V

Jinwook Jung, Yohei Nakata, Shunsuke Oku- mura, Hiroshi Kawaguchi, and Masahiko Yo- shimoto, “256-KB Associativity- Reconfigurable Cache with

7T/14T SRAM for Aggressive DVS Down to 0.57

V,”[72]

65

CMOS

-

-

-

0.115

Associativity- reconfigura- ble cache. Consists of pair of cache ways.

2 pmos tran- sistors are added

Performance decrease.

area overhead is increased

Reliability en- hance

Possesses scala- ble characteris- tic of reliability. Area overhead increased by

1.91% and

5.57% in 32-KB

and 256-KB

caches,

It has 2 modes-

normal mode

and dependable mode

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1428
ISSN 2229-5518

Jinwook Jung, Yohei Nakata, Shunsuke Oku- mura, Hiroshi Kawaguchi, and Masahiko Yo- shimoto, “A Vari- ation-Aware 0.57- V Set-Associative Cache with Mixed Associa- tivity Using

7T/14T SRAM,”[73]

65

CMOS

-

2.04

-

0.57 in

de- penda ble mode

Induced de- fective SRAM cells, 2 pmos transistors are added andmixed associativity scheme is used

Area overhead, Don’t have sufficient op- erating mar- gins

Minimum oper- ating voltage (Vmin) is re- duced,

reliability im- proves

Reduced Vmin by 80 mV with- in 7.81% capaci- ty and 5.22% area overhead.

It has 2 modes - normal mode and dependable mode

Yamaguchi Kosuke, Okumu- ra Shunsuke, Yoshimoto Masahiko, and Kawaguchi Hiro- shi, “0.42-V 576- kb 0.15-µm FD- SOI SRAM with

7T/14T Bit Cells and Substrate Bias Control Cir- cuits for Intra-Die and Inter-Die Variability Com- pensation,”[74]

0.15

FD-

SOI

-

-

-

0.42

FD-SOI substrate bias control mech- anism.

Process varia- tions may af- fect the sys- tem.

Maximizes the operating mar- gin,

retention voltage is reduced

Two operating modes - normal mode and de- pendable mode. Minimum re- tention is re- duced to 0.28 V

Nakata Yohei , Okumura Shun- suke , Kawaguchi Hiroshi , and Yoshimoto Masahiko, “0.5-V Operation Varia- tion-Aware

Word-Enhancing Cache Architec- ture Using

7T/14T hybrid

SRAM,” [75]

65

-

-

-

0.5

Variation aware word enhancing scheme

Extra control lines are re- quired

Improved relia- bility and control lines,

Low power con- sumption

Suitable for dynamic volt- age and fre- quency scal- ing(DVFS), Power reduc- tions are 90% and 65%

Conclusion

In this paper a comparison on SRAM-Bit Cell based on different technology, cell type and analysis of various design techniques is done. In Dual Vth technique both leakage power and performance are improved with an area overhead and speed penalty. The MTCMOS offers low leakage power consumption with stronger stability at the cost of additional transistors. In Body bias- ing threshold voltage is modified which reduces leakage power but at the cost of stability. Sense amplifier offers low leakage power dissipation with increased device sizing and layout optimization. In Sub threshold both leakage power, read and write margins are improved at the cost of performance degradation. If speed is increased, area also increases. If high robustness is obtained, then noise margins increases.

ACKNOWLEDGMENT

The authors are grateful to their organizations for their help and support to carry-out this work.

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1429
ISSN 2229-5518

REFERENCES

[1] Moselund K.E., Bouvet D., Pott V., Meinen C., Kayal M., and Ionescu A.M., “Punch-through impact ionization MOSFET (PIMOS): From device principle to applica- tions,” IEEE J. Solid-State Circuits, vol. 52, No. 9, pp. 1336-1344, May 2008.

[2] Leung Wingyu, Hsu Fu-Chieh, and Jones Mark-Eric, “The Ideal SoC Memory: lT-SRAM,” Proc. 2000 13th Annual IEEE ASIC/SOC Conf., Arlington, pp. 32-36, 13-16 Sep. 2000.

[3] Jin Niu, Chung Sung-Yong, Yu Ronghua, Heyns Roux M , Berge Paul R., and Thompson Phillip E., “The Effect of Spacer Thicknesses on Si-Based Resonant Interband Tunneling Diode Performance and Their Application to Low-Power Tunneling Diode SRAM Circuits,” IEEE Trans. Electronic Devices, vol. 53, No. 9, pp. 2243 - 2249, Sept. 2006.
[4] Glaskowsky Peter N., “MoSys Explains 1T-SRAM Technology Unique Architecture Hides Refresh Makes DRAM Work Like SRAM,” in the White
Paper of Microdesign Resources, vol. 13, No. 12, 13 Sept. 2009.
[5] Jones Mark-Eric, “1T-SRAM-Q™: Quad-Density Technology Reins in Spiraling Memory Requirements,” in the White Paper of Microdesign Re- sources, pp 1-8, June 2005.
[6] Somasekhar Dinesh, Ye Yibin, Aseron Paolo, Lu Shih-Lien, Khellah Muhammad, Howard Jason, Ruhl Greg, Karnik Tanay, Borkar Shekhar Y., De
Vivek, and Keshavarzi Ali, “2GHz 2Mb 2T Gain-Cell Memory Macro with 128GB/s Bandwidth in a 65nm Logic Process,” IEEE Int. Solid State Cir- cuits Conf., San Francisco, pp. 274 – 613, 3-7 Feb. 2008.
[7] Meinerzhagen Pascal, Teman Adam, Mordakhay Anatoli, Burg Andreas, and Fish Alexander, “A Sub-VT 2T Gain-Cell Memory for Biomedical
Applications,” IEEE Subthreshold Microelectronics Conf., Boston, 9-10 Oct. 2012.
[8] Ramesh Anisha, Park Si-Young, and Berge Paul R., “90 nm 32x32 bit Tunneling SRAM Memory Array With 0.5 ns Write Access Time, 1 ns Read
Access Time and 0.5 V Operation,” IEEE Trans. on Circuits and Syst., vol. 58, No. 10, pp. 2432-2445, Oct. 2011.
[9] Wagt van der J. P. A., Seabaugh A.C., and Beam E.A., “RTD/HFET Low Standby Power SRAM Gain Cell,” IEEE Electron Device Letters, vol. 19, No.
1, pp.7-9, Jan. 1998.
[10] Nod Kenji, Matsui Koujirou , Takeda Koichi, and Nakamura Noritsugu, “A Loadless CMOS Four-Transistor SRAM Cell in a 0.18-um Logic Tech- nology,” IEEE Trans. on Electron Devices, vol. 48, No. 12, pp. 2851-2855, Dec. 2001.
[11] Arsovski Igor, Chandler Trevis and Sheikholeslami Ali, “A Ternary Content-Addressable Memory (TCAM) Based on 4T Static Storage and Includ- ing a Current-Race Sensing Scheme,” IEEE J. Solid-State Circuits, vol. 38, No. 1, pp. 155-158, Jan. 2003.
[12] Noda K., Matsui K., Ito S., Masuoka S., Kawamoto H., Ikezawa N., Takeda K., Aimoto Y., Nakamura N., Toyoshima H., Iwasaki T., and Horiuchi T., “An Ultra-High-Density High-speed Loadless Four-Transistor SRAM Macro with a Dual-Layered Wisted Bit-Line and a Triple-Well Shield,” Proc. 2000 IEEE Custom Integrated Circuits Conf., Orlando, pp. 283-286, 21-24 May 2000.
[13] Takeda K., Aimoto Y., Nakamura N., Toyoshima H., Iwasaki T., Noda K., , Matsui K., Itoh S., Masuoka S., Horiuchi T., Nakagawa A., Shimogawa K., and Takahashi H., “A 16-Mb 400-MHz Loadless CMOS Four-Transistor SRAM Macro,” IEEE J. Solid-State Circuits, vol. 35, No. 11, pp. 1631-1640, Nov. 2000.
[14] Yang Jinshen, and Chen Li, “A New Loadless 4-Transistor SRAM Cell with a 0.18 μm CMOS Technology,” IEEE Electrical and Computer Engineering

Conf., Vancouver, pp. 538-541, 22-26 April 2007.

[15] Giraud B., Amara A., and Vladimirescu A., “A Comparative Study of 6T and 4T SRAM Cells in Double-Gate CMOS with Statistical Variation,” Int.

Symp. 2007 IEEE Circuits and Systems Conf., New Orleans, pp. 3022-3025, 27-30 May 2007.

[16] Batude P., Jaud M-A., Thomas O., Clavelier L., Pouydebasque A., Vinet M., Deleonibus S., and Amara A, “3D CMOS Integration:Introduction of
Dynamic coupling and Application to Compact and Robust 4T SRAM,” IEEE Integrated Circuit Design and Technology Conf., Austin, pp.281-284, 2-4
June 2008.
[17] Mazreah Azizi A., Sahebi Reza M., Manzuri Taghi M., Hosseini Javad S., “A Novel Zero-Aware Four-Transistor SRAM Cell for High Density and
Low Power Cache Application,” IEEE Advanced Computer Theory and Engineering Conf., phuket, pp.571-575, 20-22 Dec. 2008.

[18] R Sandeep, Deshpande Narayan T, and Aswatha A R, “Design and Analysis of a New Loadless 4T SRAM Cell in Deep Submicron CMOS Technol- ogies,” 2nd Int. Emerging Trends in Engineering and Technology Conf., Nagpur, pp.155-161, 16-18 Dec. 2009.

[19] Fan Ming-Long, Wu Yu-Sheng , Hu Vita Pi-Ho, Hsieh Chien-Yu, Su Pin, and Chuang Ching-Te, “ Comparison of 4T and 6T FinFET SRAM Cells
for Subthreshold Operation Considering Variability—A Model-Based Approach,” IEEE Trans. On Electron Devices, vol. 58, No. 3, Mar. 2011.

[20] Degalahal V., Vijaykrishnan N., and Irwin M. J., “Analyzing Soft Errors in Leakage Optimized SRAM Design,” Proc. 2003 16th Int. VLSI Design Conf., pp.227-233, 4-8 Jan. 2003.

[21] Tran Hiep, “Demonstration of 5T SRAM And 6T Dual-Port RAM Cell Arrays,” Symp. 1996 IEEE Circuits and Systems Conf., New Orleans, pp. 3022-
3025, 27-30 May 2007.
[22] Wieckowski M., and Margala M., “A Novel Five-Transistor (5T) Sram Cell For High Performance Cache,” Proc. 2005 IEEE Int. SOC Conf., Herndon, pp.101-102, 19-23 Sept. 2005.
[23] Mohan Nitin and Sachdev Manoj, “Novel Ternary Storage Cells And Techniques For Leakage Reduction In Ternary Cam,” Proc. 2006 IEEE Int.

SOC Conf., Taipei, pp.311-314, 24-27 Sept. 2006.

[24] Cosemans S., Dehaene W., and Catthoor F., “A Low-Power Embedded SRAM for Wireless Applications,” IEEE J. Solid-State Circuits, vol. 42, No. 7, pp. 1607-1617, July 2007.
[25] Wieckowski M., and Margala M., “A Portless SRAM Cell Using Stunted Wordline Drivers,” IEEE Int. Symp. 2008 Circuits and Systems Conf., pp.
584-587, 27 June 2008.

[26] Mazreaht Arash Azizi, Shalmani Mohammad Taghi Manzuri, Noormande Reza, and Mehrparvar Ali, “A Novel Zero-Aware Read-Static-Noise- Margin-Free SRAM Cell for High Density and High Speed Cache Application,” 9th Int. Solid State And Integrated Circuit Technology Conf., Beijing,

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1430
ISSN 2229-5518
pp. 876 – 879, 20-23 Oct. 2008.
[27] Nalam S., and Calhoun Benton H., “Asymmetric Sizing in a 45nm 5T SRAM to Improve Read Stability over 6T,” IEEE Custom Integrated Circuits

Conf., San Jose, pp. 709-712, 13-16 Sept. 2009.

[28] Narasimhan S., Chiel H.J., and Bhunia Swarup, “Ultra-Low-Power and Robust Digital-Signal-Processing Hardware for Implantable Neural Inter- face Microsystems,” IEEE Trans. Biomed. Circuits Syst., vol. 5, No. 2, pp. 169-178, Apr. 2011.
[29] Hashemian M., and Bhunia Swarup, “Ultralow-Power and Robust Embedded Memory for Bioimplantable Microsystems,” IEEE Computer Society,

26th Int. Conf. on VLSI Design and 12th Int. Conf. on Embedded Systems, Pune India, pp. 66-71, 5-10 Jan. 2013.

[30] Elakkumanan Praveen, Thondapu Charan, and Sridhar Ramalingam, “A Gate Leakage Reduction Strategy For Sub-70nm Memory Circuits,” Proc.

2004 IEEE Implementation of High Performance Circuits Conf., Dallas, pp. 145-148, 27 Sept. 2004.

[31] Hua Chung-Hsien, Cheng Tung-Shuan, and Hwang Wei, “Distributed Data-Retention Power Gating Techniques for Column and Row Co- Controlled Embedded SRAM,” IEEE Memory Technology, Design, and Testing Conf., Taipei, pp.129-134, 5 Aug. 2005.
[32] Sridhara Srinivasa R., DiRenzo Michael, Lingam Srinivas, Lee Seok-Jun, Blázquez Raúl, Mxey Jay, Ghanem Samer, Lee Yu-Hung, Abdallah Rami, Singh Prashant, and Goel Manish, “Microwatt Embedded Processor Platform for Medical System-on-Chip Applications,” IEEE J. Solid-State Cir- cuits, vol. 46, No. 4, pp. 721-730, Apr. 2011.
[33] Kulkarni Jaydeep P., and Roy Kaushik, “Ultralow-Voltage Process-Variation-Tolerant Schmitt-Trigger-Based SRAM Design,” IEEE Trans. Very

Large Scale Integr. (VLSI) Syst.,vol. 20, No. 2, pp. 319-332, Feb. 2011.

[34] Rooseleer Bram, Cosemans Stefan, and Dehaene Wim, “A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, ultra low leakage power memory using dynam- ic cell stability and a dual swing data link,” Proc. 2011 IEEE ESSCIRC, Helsinki, pp. 519-522, 12-16 Sept. 2011.
[35] Bansal Aditya, Mukhopadhyay Saibal, and Roy Kaushik, “Device-Optimization Technique for Robust and Low-Power FinFET SRAM Design in
NanoScale Era,” IEEE Trans. Electron Devices, vol. 54, No. 6, pp. 1409-1419, June 2007.
[36] Amelifard Behnam, Fallah Farzan, and Pedram Massoud, “Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, No. 7, pp. 851-860, July 2008.
[37] Sharifkhani Mohammad and Sachdev Manoj, “An Energy Efficient 40 Kb SRAM Module With Extended Read/Write Noise Margin in 0.13 um
CMOS,” IEEE J. Solid-State Circuits, vol. 44, No. 2, pp. 620-630, Feb 2009.
[38] Lakshminarayanan S., Joung J., Narasimhan G., Kapre R., Slanina M., Tung J., Whately M., Hou C-L., Liao W-J., Lin S-C., Ma P-G., Fan C-W., Hsieh

M-C., Liu F-C., Yeh K-L., Tseng W-C., and Lu S.W., “Standby Power Reduction and SRAM Cell Optimization for 65nm Technology,” 10th Int. Symp.

2009 IEEE Quality Electronic Design Conf., San Jose, pp. 471-475, 16-18 Mar. 2009.

[39] Jiao Hailong, and Kursun Volkan, “Low Power and Robust Ground Gated Memory Banks with Combined Write Assist Techniques,” IEEE Faible

Tension Faible Consommation, Paris, pp. 1-4, 6-8 June 2012.

[40] Liu Zhiyu and Kursun Volkan, “Characterization of a Novel Nine-Transistor SRAM Cell,” IEEE Trans. on Very Large Scale Integration Syst., vol. 16, No. 4, pp. 488-492, Apr. 2008.
[41] Birla Shilpi, Shukla Neeraj Kr., Pattanaik Manisha, Singh R.K., “Device and Circuit Design Challenges for Low Leakage SRAM for Ultra Low Pow-
er Applications,” IEEE Canadian Journal on Electrical & Electronics Engineering, vol. 1, No. 7, pp. 156-167, Dec. 2010.

[42] Yadav Monika, and Akashe Shyam, “New Technique For Reducing SubThreshold Leakage In SRAM,” IEEE Computer Society, 2nd Int. Advanced

Computing & Communication Technologies Conf., Rohtak India, pp. 374-377, 7-8 Jan. 2012.

[43] Takeda Koichi, Hagihara Yasuhiko, Aimoto Yoshiharu, Nomura Masahiro, Nakazawa Yoetsu, Ishii Toshio, and Kobatake Hiroyuki, “A Read- Static-Noise-Margin-Free SRAM Cell for Low-VDD and High-Speed Applications,” IEEE J. Solid-State Circuits, vol. 41, No. 1, pp.113-121, Jan. 2006.

[44] P Rajeev Anand., and P Chandra Sekhar., “Reduce Leakage Currents in Low Power SRAM cell Structures,” 9th Int. Symp. 2011 IEEE Parallel and

Distributed Processing with Applications Workshops Conf., Busan, pp. 33-38, 26-28 May 2011.

[45] Kim Tea-Hyoung, Liu J., and Kim C.H., “An 8T subthreshold SRAM Cell Utilizing Reverse Short Channel Effect for Write Margin and Read Per- formance Improvement,” IEEE Custom Integrated Circuits Conf., San Jose, pp. 241-244,16-19 Sept. 2007.
[46] Verma N., and Chandrakasan A.P., “A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy,” IEEE J. Solid-State Circuits, vol. 43, No. 1, pp. 141-149, Jan. 2008.

[47] Wang Bo, Zhou Jun, and Kim Tony T., “Maximization of SRAM Energy Efficiency Utilizing MTCMOS Technology,” 4th Asia Symp. 2012 IEEE Quali-

ty Electronic Design Conf., Penang, pp. 35-40, 10-11 July 2012.

[48] Kwong Joyce, Ramadass Yogesh K., Verma Naveen, and Chandrakasan Anantha P., “A 65 nm Sub-Vt Microcontroller With Integrated SRAM and
Switched Capacitor DC-DC Converter,” IEEE J. Solid-State Circuits., vol. 44, No. 1, pp. 115-126, Jan. 2009.

[49] Jain Sanjeev K., and Agarwal P., “A Low Leakage and SNM Free SRAM Cell Design in Deep Sub micron CMOS Technology,” 5th Int. Embedded

Systems And Design Conf., 3-7 Jan. 2006.

[50] Ramani Ramnath Arun, and Choi Ken, “A Novel 9T SRAM Design in Sub-Threshold Region,” IEEE Electro/Information Technology Int. Conf., Manka- to US, pp. 1-6, 15-17 May 2011.
[51] Razavipour G., Kusha Afzali A., and Pedram M., “Design and Analysis of Two Low Power SRAM Cell Structures,” IEEE Trans. Very Large Scale

Integr. (VLSI) Syst., vol. 17, No. 10, pp. 1551-1555, Mar. 2009.

[52] Mali Madan, Dr. Sutaone M.S., Bhalerao Mangesh, and Tak Shital, “Deep Submicron Implementation of Gating Transistor Power Saving Technique for Power Optimized Code Book SRAM,” 4th IEEE Industrial Electronics and Applications Conf., Xian, pp. 2616-2619, 25-27 May 2009.

[53] Masuda Chotaro, Hirose Tetsuya, Matsumoto Kei, Osaki Yuji, Kuroki Nobutaka, and Numa Masahiro, “High Current Efficiency Sense Amplifier

Using Body-Bias Control for Ultra-Low-Voltage SRAM,” 54th Int. Midwest Symp. 2011 IEEE Circuits and Systems Conf., Seoul, pp.1-4, 7-10 Aug. 2011. [54] Clark Lawrence T., Morrow Michael and Brown William, “Reverse-Body Bias and Supply Collapse for Low Effective Standby Power,” IEEE Trans.

Very Large Scale Integr. (VLSI) Syst., vol. 12, No. 9, pp. 947-956, Sept. 2004.

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1431
ISSN 2229-5518
[55] Singh R., Pattanaik M., and Shukla N., “Characterization of a Novel Low-Power SRAM Bit-Cell Structure at Deep Sub-Micron CMOS Technology for Multimedia Applications,” Circuits and Systems Scientific Research, vol. 3, No. 1, pp. 23-28, Jan. 2012.
[56] Saripalli Vinay, Datta Suman, Narayanan Vijaykrishnan, and Kulkarni Jaydeep P., “Variation-Tolerant Ultra Low-Power Heterojunction Tunnel
FET SRAM Design,” Symp. 2011 IEEE Nanotechnol. Mag. Conf., San Diego, pp. 45-52, 8-9 June 2011.
[57] Calhounand Benton Highsmith and Chandrakasan Anantha P., “A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation,”

IEEE J. Solid-State Circuits, vol. 42, No. 3, pp. 680-688, Mar. 2007.

[58] Ebrahimi Amir, Kargaran Ehsan and Golmakani Abbas,“Design and Analysis of Three New SRAM Cells,” Majlesi Journal of Electrical Engineering,
vol. 6, No. 4, pp. 30-38, Dec. 2012.
[59] Lo Cheng-Hung and Huang Shi-Yu,P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Subthreshold Operation,” IEEE J. Solid-State
Circuits, vol. 46, No. 3, pp. 695-704, Mar. 2011.
[60] Moradi Farshad , Wisland Dag T., Mahmoodi Hamid, Berg Yngvar, and Cao. Tuan Vu, “New SRAM Design Using Body Bias Technique for Ultra

Low Power Applications,” 11th Int. Symp. 2010 IEEE Quality Electronic Design Conf., San Jose CA, pp. 468-471, 22-24 Mar. 2010.

[61] Moradi Farshad, Wisland Dag.T., Aunet Snorre, Mahmoodi Hamid and Cao Tuan Vu, “65nm Sub-Threshold 11T-SRAM for Ultra Low Voltage
Applications,” IEEE SOC Conf., Newport Beach CA, pp. 113-118, 17-20 Sept. 2008.
[62] Singh Ajay Kumar, Prabhu C.M.R., Pin Soo Wei and Hou Ting Chik, “A Proposed Symmetric and Balanced 11-T SRAM Cell for lower power con- sumption,” IEEE TENCON Conf., Singapore, pp.1-4, 23-26 Jan. 2009.
[63] Lin Sheng, Kim Yong-Bin, and Lombardi Fabrizio, “A 11-Transistor Nanoscale CMOS Memory Cell for Hardening to Soft Errors,” IEEE Trans. on

Very Large Scale Integration Syst., vol. 19, No. 5, pp. 900-904, May. 2011.

[64] Chiu Yi-Wei, Hu Yu-Hao, Tu Ming-Hsien, Zhao Jun-Kai, Jou Shyh-Jye and Chuang Ching-Te, “A 40 nm 0.32 V 3.5 MHz 11T Single-Ended Bit- Interleaving Subthreshold SRAM with Data-Aware Write-Assist,” Int. Symp. 2013 IEEE Low Power Electronics and Design Conf., Beijing, pp. 51-56, 4-6
Sept. 2013.
[65] Mall Ambrish, Singh Suryabhan Pratap, Mishra Manish and Srivastava Geetika,“Analysis Of 12T SRAM CELL For Low Power Applica- tion,”.Available:http://conference.aimt.edu.in/paper/ec%20paper/ANALYSIS%20OF%2012T%20SRAM%20CELL%20FOR%20LOW%20POWER
%20APPLICATION.pdf
[66] Chen Hu, Jun Yang, Meng Zhang and Xiulong Wu, “A 12T subthreshold SRAM Bit-cell for Medical Device Application,” Int. Cyber-Enabled Dis- tributed Computing and Knowledge Discovery Conf., Beijing, pp. 540-543, 10-12 Oct. 2011.
[67] Shayan Md, Singh Virendra, Singh Adit D and Fujita Masahiro, “SEU Tolerant Robust Memory Cell Design,” 18th Int. Symp. 2012 IEEE On-Line

Testing, Sitges, pp. 13-18, 27-29 June 2012.

[68] Sriram K V, Ranganna Ramappa Naik, Pavan Nandan S G and Kendaganna Swamy, “Design Of Low Power 64-Bit SRAM Using 13T Cell,” Int.

Journal of Engineering Research & Technology, vol. 2, No. 5, pp. 1350-1352, May 2013.

[69] Fujiwara Hidehiro, Okumura Shunsuke, Iguchi Yusuke, Noguchi Hiroki, Kawaguchi Hiroshi and Yoshimoto Masahiko, “A 7T/14T Dependable
SRAM and Its Array Structure to Avoid Half Selection,” 22nd Int. VLSI Design Conf., New Delhi, pp. 295-300, 5-9 Jan. 2009.
[70] Yoshimoto Shusuke, Amashita Takuro, Okumura Shunsuke, Yamaguchi Kosuke, Yoshimoto Masahiko, and Kawaguchi Hiroshi, “Bit Error and
Soft Error Hardenable 7T/14T SRAM with 150-nm FD-SOI Process,” IEEE Int. Reliability Physics Symp., Monterey, pp. 3.1-3.6, 10-14 April 2011.
[71] Nakata Yohei, Ito Yasuhiro, Sugure Yasuo, Oho Shigeru, Takeuchi Yusuke, Okumura Shunsuke, Kawaguchi Hiroshi, and Yoshimoto Masahiko, “Model-Based Fault Injection for Failure Effect Analysis–Evaluation of Dependable SRAM for Vehicle Control Units,” 41th Int. Dependable Systems and Networks Workshops Conf., Hong Kong, pp. 91-96, 27-30 June 2011.

[72] Jinwook Jung, Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi, and Masahiko Yoshimoto, “256-KB Associativity-Reconfigurable Cache with 7T/14T SRAM for Aggressive DVS Down to 0.57 V,” 18th Int. IEEE Electronic Circuits and Systems Conf., Beirut, pp.524-527, 11-14 Dec. 2011.

[73] Jinwook Jung, Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi, and Masahiko Yoshimoto, “A Variation-Aware 0.57-V Set-Associative
Cache with Mixed Associativity Using 7T/14T SRAM,” IEEE Faible Tension Faible Consommation, Paris, pp. 1-4, 6-8 June 2012.
[74] Yamaguchi Kosuke, Okumura Shunsuke, Yoshimoto Masahiko, and Kawaguchi Hiroshi, “0.42-V 576-kb 0.15-µm FD-SOI SRAM with 7T/14T Bit Cells and Substrate Bias Control Circuits for Intra-Die and Inter-Die Variability Compensation,”. Available : http://www28.cs.kobe- u.ac.jp/pdf/EuroSOI2010_yamaguchi.pdf
[75] Nakata Yohei , Okumura Shunsuke , Kawaguchi Hiroshi , and Yoshimoto Masahiko, “0.5-V Operation Variation-Aware Word-Enhancing Cache
Architecture Using 7T/14T hybrid SRAM,” Int. Symp. 2010 IEEE Low Power Electronics And Design, Austin, pp. 219-224, 18-20 Aug. 2010.

ABOUT THE AUTHOR

Jyoti Yadav, pursuing M.tech from ITM University, Gurgaon in VLSI(Very Large Scale Integrated Circuits) discipline and have done B.Tech from Gurgaon College of Engineering for Women, Bilaspur in ECE( Electronics and Communication Engineering). Her main area of interest is Low - Power Digital VLSI Design and its Bio Medical applications.

Toshiyanka Goswami, student B.Tech (Completed) at ITM, Gurgaon, in the Electronics & Communication Engineering disci- pline. Her main area of interest is Semiconductor Materials & Device Modeling, Low-Power Digital VLSI Design, and its Multi- media applications.

Pulkit Bhatnagar, currently working as Design Engineer at STMicroelectronics Pvt. Ltd., Greater Noida, India. He has received

IJSER © 2014 http://www.ijser.org

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 1432
ISSN 2229-5518

honours degree with Gold Medal in B.Tech Electronics & Communication Engineering(ECE) from ITM University, Gurgaon.

His main area of interest are Low Power VLSI Design, IO Characterization methodologies, Timing Analysis, Mixed signal de-

sign, HDL modeling, Digital design and IP Verification.

S. Birla, (IACSIT, IAENG), a Ph.D. Scholar at the UK Technical University, Dehradun (Uttarakhand) India is an Asst. Professor in the Department of Electronics & Communication Engineering, Sir Padampat Singhania University, Udaipur (Rajasthan) In- dia. She has received her M.Tech. (VLSI Design) and B.E. (Electronics & Communication Engineering) Degrees from the Univer- sity of Rajasthan, Jaipur (Rajasthan) India and MITS University, Laxmangarh, (Rajasthan) India, respectively. Her main research interests are in Low-Power VLSI Design and its Multimedia Applications, RF-SiP, and Low-Power CMOS Circuit Design.

Neeraj Kr. Shukla, (IETE, IE, IACSIT, IAENG, CSI, ISTE, VSI-India), an Associate Professor in the Department of Electrical, Electronics & Communication Engineering, and Project Manager – VLSI Design at ITM University, Gurgaon, (Haryana) India. He received his PhD from UK Technical University, Dehradun in Low-Power SRAM Design and M.Tech. (Electronics Engineer- ing) and B.Tech. (Electronics & Telecommunication Engineering) Degrees from the J.K. Institute of Applied Physics & Technolo- gy, University of Allahabad, Allahabad (Uttar Pradesh) India in the year of 1998 and 2000, respectively. He has more than 50

Publications in the Journals and Conferences of National and International repute. His main research interests are in Low-Power Digital VLSI Design and its Multimedia Applications, Digital Hardware Design, Open Source EDA, Scripting and their role in VLSI Design, and RTL Design.

IJSER © 2014 http://www.ijser.org